v/vlib/arrays/arrays.v

11 lines
134 B
V
Raw Normal View History

2019-10-30 14:21:57 +01:00
module arrays
fn range<T>(start, end T) []T {
2019-10-30 15:30:49 +01:00
mut res := [start]
for i := start + 1; i < end; i++ {
2019-10-30 14:21:57 +01:00
res << i
}
return res
}