v/vlib/compiler/tests/repl/var_decl.repl

5 lines
33 B
Plaintext
Raw Normal View History

a := 1
println(a)
===output===
1