v/vlib/v/parser/tests/array_pos_err.vv

3 lines
23 B
V
Raw Normal View History

fn main() {
'' in []
}