v/vlib/gg/gg_darwin.c.v

24 lines
473 B
V
Raw Normal View History

2020-12-03 23:17:00 +01:00
module gg
#include "@VEXEROOT/vlib/gg/gg_darwin.m"
2020-12-03 23:17:00 +01:00
fn C.gg_get_screen_size() Size
2021-01-23 10:25:40 +01:00
2021-01-31 13:57:06 +01:00
fn C.darwin_draw_string(x int, y int, s string, cfg voidptr)
2021-01-23 10:25:40 +01:00
fn C.darwin_text_width(s string) int
fn C.darwin_text_width_runes(r []rune) int
2021-01-23 10:25:40 +01:00
fn C.darwin_window_refresh()
2021-01-31 13:57:06 +01:00
fn C.darwin_draw_rect(f32, f32, f32, f32, voidptr)
2021-01-23 10:25:40 +01:00
2021-01-31 13:57:06 +01:00
fn C.darwin_create_image(path string) Image
2021-01-23 10:25:40 +01:00
fn C.darwin_draw_image(f32, f32, f32, f32, &Image)
2021-01-31 13:57:06 +01:00
fn C.darwin_draw_circle(f32, f32, f32, voidptr)
2021-01-23 10:25:40 +01:00
//, gx.Color c)