v/vlib/v/tests
yuyi 5ddb70940e
cgen: fix map_fixed_arrays str error (fix #8170) (#8178)
2021-01-18 10:34:29 +01:00
..
bench sync: use `mfence` on windows-tcc (#6079) 2020-08-06 17:31:05 +02:00
field_publicity parser: embed always public and mutable (#7722) 2020-12-30 21:17:48 +02:00
generics_from_modules parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
inout tests: fix random color mismatches in the CI 2021-01-13 21:27:30 +02:00
interface_edge_cases cgen: fix `x.interface_field = value_implementing_interface` (closes #7620) 2020-12-27 19:12:39 +02:00
local ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
modules all: change the way import symbols work & support consts (#7182) 2020-12-07 19:13:03 +02:00
multiple_paths_in_vmodules tests: fix random color mismatches in the CI 2021-01-13 21:27:30 +02:00
prod gen: removes space on struct printing (#6535) 2020-10-02 13:06:02 +02:00
profile ci: disable socket error assertion in profile_test.v 2020-12-25 16:10:18 +02:00
project_with_c_code ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
project_with_c_code_2 ci: fix vlib/v/tests/project_with_c_code_2/modc/wrapper.v 2020-12-20 18:33:31 +02:00
project_with_modules_having_submodules ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
project_with_tests_for_main tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
repl tests: fix random color mismatches in the CI 2021-01-13 21:27:30 +02:00
tmpl all: make comptime templates usable outside of vweb via $tmpl 2020-11-26 18:40:35 +01:00
valgrind tests: fix random color mismatches in the CI 2021-01-13 21:27:30 +02:00
anon_fn_call_test.v cgen: fix anon_fn_call (#8120) 2021-01-15 10:25:30 +01:00
anon_fn_test.v gen: fix assigning an anon fn to a struct field (#6965) 2020-11-27 11:15:48 +02:00
appending_to_mut_array_in_fn_param_test.v cgen: fix `fn f(mut a []int) { a << [1,2] }` 2020-12-05 10:12:17 +02:00
array_cast_test.v parser: support casting to a pointer to array (#7861) 2021-01-04 18:22:04 +02:00
array_equality_test.v tests: add nested array not equal tests 2020-06-20 02:34:49 +02:00
array_init_test.v checker: infer array_init type from call with in return of or block. closes #7135 2020-12-06 01:26:28 +11:00
array_methods_test.v gen: fix evaluating the receiver of array methods (.map(), .filter(), etc) more than once (#7130) 2020-12-04 20:29:34 +02:00
array_slice_test.v cgen: fix `array_slice(*a, 0, a.len)` C error, generated for `a[..a.len]`, for a `mut a []int` (#8168) 2021-01-17 17:22:23 +02:00
array_to_string_test.v table: remove nr_dims from Array (#8053) 2021-01-13 23:43:19 +01:00
array_type_alias_test.v checker: fix indexing a type alias instance (#7889) 2021-01-05 19:17:18 +01:00
as_cast_is_expr_sumtype_fn_result_test.v cgen: fix `as` cast when sumtype is the result of a function call (#6537) 2020-10-03 05:04:15 +02:00
asm_test.v cgen: minor optionals fixes 2020-04-06 18:46:50 +02:00
assert_sumtype_test.v cgen: fix assert `sumtype is` (#5739) 2020-07-08 09:05:01 +03:00
assert_with_newlines_test.v cgen: encode newlines as \n in the assert meta information 2020-11-01 17:01:45 +02:00
assign_bitops_with_type_aliases_test.v checker: allow &=,|=,^=,%= on an integer number type aliases 2020-10-04 15:29:09 +03:00
attribute_test.v parser/cgen: multiple attributes & better errors (closes #5334) 2020-06-15 22:59:09 +10:00
autolock_array1_test.v parser: enable module auto import (of `sync`) (#6271) 2020-08-31 10:44:39 +02:00
autolock_array2_test.v unsafe: tag with unsafe{} some more pointer manipulations 2020-07-22 21:42:51 +03:00
backtrace_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
blank_ident_test.v gen: more efficient `for in` with a map (#6961) 2020-11-26 23:22:14 +01:00
cast_to_byte_test.v checker: allow &=,|=,^=,%= on an integer number type aliases 2020-10-04 15:29:09 +03:00
complex_assign_test.v match: implement exhaustive match for bool values (#7761) 2021-01-01 13:28:23 +02:00
comptime_at_test.v cgen: fix windows escape errors for comptime @ pseudo vars (#6977) 2020-11-27 11:16:57 +02:00
comptime_bittness_and_endianess_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
comptime_field_selector_test.v all: implement basic comptime field selector (#7888) 2021-01-05 16:11:43 +02:00
comptime_for_test.v vfmt: fix `$for method in App.methods {}` turning to App(methods) 2020-11-14 12:24:46 +02:00
comptime_if_expr_test.v gen: fix generation of comptime if T is (#7971) 2021-01-08 19:39:58 +02:00
comptime_if_test_support_test.v cgen: support `$if test { ... }` 2020-06-03 10:20:10 +03:00
const_can_use_optionals_test.v cgen: allow for `const ( x = opt() ? )` 2020-12-04 13:11:12 +02:00
const_embed_test.v ftoa: fix strconv/ftoa/f32_f64_to_string_test.v 2020-04-14 03:53:34 +02:00
const_init_order_test.v ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
const_test.v parser: allow `const x = 0` consts outside of const blocks 2020-12-30 02:15:44 +01:00
conversions_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
cross_assign_test.v tests: add mixed and complex types tests for cross assign (#5622) 2020-07-02 18:20:03 +02:00
cstrings_test.v builtin: x.vstring() instead of string(x) (#6102) 2020-08-10 18:05:26 +02:00
defer_test.v cgen: defer was broken in presence of anon fn. fixed #7171 2020-12-08 14:21:19 +11:00
differently_named_structs_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
double_ref_deref_test.v scanner: fix parsing multiple .amp 2020-06-13 00:01:44 +02:00
enum_array_field_test.v ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
enum_bitfield_test.v checker: only allow `!=` and `==` for enum (#7985) 2021-01-10 12:26:31 +02:00
enum_default_value_in_struct_test.v checker: disallow comparison between enum and int (#7886) 2021-01-08 17:41:52 +01:00
enum_hex_test.v v2: initial interface support; fix enum_hex_test.v 2020-03-31 19:59:41 +02:00
enum_test.v checker: disallow comparison between enum and int (#7886) 2021-01-08 17:41:52 +01:00
filter_in_map_test.v cgen: fix filter in map (#7844) 2021-01-04 01:04:53 +01:00
fixed_array_const_size_test.v parser: fix fixed array using const size (fix #8144) (#8161) 2021-01-18 06:03:03 +01:00
fixed_array_init_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
fixed_array_test.v cgen: fix `for` iteration over fixed array literal (#8159) 2021-01-17 10:44:15 +01:00
fixed_array_to_string_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
fn_assignment_test.v checker: fix multi assignment for multiple fns (#5716) 2020-07-07 14:18:51 +02:00
fn_cross_assign_test.v cgen: fix cross assign for functions (#5734) 2020-07-08 09:17:26 +03:00
fn_expecting_ref_but_returning_struct_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
fn_expecting_ref_but_returning_struct_time_module_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
fn_high_test.v parser/checker/gen: anon fn direct call with args 2020-07-08 01:10:39 +10:00
fn_index_direct_call_test.v cgen: fix map['xxx']() error (#7342) 2020-12-15 16:07:24 +01:00
fn_multiple_returns_test.v cgen: optional multiple return values 2020-05-07 15:36:04 +02:00
fn_test.v parser: fix error when using imported types in short fn signature (#7795) 2021-01-02 15:09:54 +02:00
fn_type_aliases_test.v cgen: fix for `type FdfFn = fn(...) (x,y)` 2020-10-11 09:53:35 +03:00
fn_variadic_test.v parser: change array decompose syntax (#7965) 2021-01-09 22:48:23 +01:00
for-in-iterator_test.v all: implement iterators in for loops (#7867) 2021-01-05 01:06:44 +01:00
for_in_mut_val_test.v cgen: fix map_fixed_arrays str error (fix #8170) (#8178) 2021-01-18 10:34:29 +01:00
for_loops_2_test.v parser: disallow match expr at certain places (#6490) 2020-10-03 16:30:58 +03:00
for_loops_test.v gen: more efficient `for in` with a map (#6961) 2020-11-26 23:22:14 +01:00
for_smartcast_test.v checker: smartcast in for loops (#7942) 2021-01-07 21:35:32 +01:00
generic_fn_infer_modifier_test.v checker: infer T from parameter of type `[]T` (#6611) 2020-10-13 15:15:25 +03:00
generic_fn_infer_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
generic_fn_returning_type_with_T_test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
generic_functions_with_normal_function_test.v cgen: add a _T_ prefix in the C names for generic fn instances; fixes #6927 (#6999) 2020-11-28 17:07:07 +02:00
generics_method_test.v parser: fix a bug when using some types like map in generic methods (#7872) 2021-01-05 02:59:36 +01:00
generics_return_multi_array_test.v generic: fix return multi array generic (fix #7727 #7753) (#7855) 2021-01-04 18:40:53 +02:00
generics_test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
go_call_generic_fn_test.v gen: fix go call with generic function (#8093) 2021-01-13 17:35:50 +02:00
go_wait_1_test.v sync: allow `go` routine join with return value (#8125) 2021-01-15 13:45:26 +01:00
go_wait_2_test.v sync: allow `go` routine join with return value (#8125) 2021-01-15 13:45:26 +01:00
go_wait_3_test.v parser: fix parsing of `go` call expression (#8138) 2021-01-15 19:47:49 +02:00
goto_test.v cgen: fix a goto error 2020-05-11 23:49:08 +02:00
if_expression_test.v checker: require () in a && b || c 2020-06-02 22:21:44 +02:00
if_smartcast_test.v tests: cleanup sum type tests (#6950) 2020-11-25 20:40:29 +01:00
imported_symbols_test.v all: change the way import symbols work & support consts (#7182) 2020-12-07 19:13:03 +02:00
in_expression_test.v builtin: fix `in` for various numeric types (#6311) 2020-09-06 12:45:02 +02:00
infix_expr_test.v gen: fix comparing signed int to u32/u64 (#7312) 2020-12-14 12:01:11 +02:00
int_cmp_test.v cgen: fix unsigned/signed integer comparisons 2020-06-17 02:54:27 +02:00
interface_struct_test.v cgen: fix interface struct field initialization (#7340) 2020-12-16 11:03:28 +02:00
interface_test.v gen: fix interface method call name that is a C reserved word (#8091) 2021-01-13 16:37:47 +02:00
interfaces_map_test.v parser: check `(mut f Foo)` syntax 2020-05-17 13:51:18 +02:00
interop_test.v checker: disallow assigning number to pointer (#7267) 2020-12-12 10:42:07 +01:00
local_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
map_and_array_with_fns_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
map_equality_test.v cgen: add `gen_map_equality_fn` to compare maps (#5770) 2020-07-10 18:08:17 +02:00
map_mut_fn_test.v gen: fix mutable map generation (#7251) 2020-12-11 04:48:55 +01:00
map_to_string_test.v cgen: fix map_fixed_arrays str error (fix #8170) (#8178) 2021-01-18 10:34:29 +01:00
map_type_alias_test.v checker: fix indexing a type alias instance (#7889) 2021-01-05 19:17:18 +01:00
maps_equal_test.v cgen: use voidptr key methods: map_get_1, map_set_1, map_get_and_set_1 (#7390) 2020-12-19 01:05:16 +02:00
match_expression_for_types_test.v tests: update for stricter type checks 2020-05-24 21:07:32 +02:00
match_smartcast_test.v tests: cleanup sum type tests (#6950) 2020-11-25 20:40:29 +01:00
match_sumtype_var_shadow_and_as_test.v all: remove old sumtype code (#6937) 2020-11-24 17:55:24 +01:00
match_test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
method_call_chain_test.v checker: don't disallow method call chains (#7128) 2020-12-04 21:27:38 +01:00
module_test.v all: minor array fixes 2020-12-20 16:08:56 +01:00
module_type_cast_test.v parser: fix module type cast 2020-06-29 17:15:40 +02:00
multiple_assign_test.v cgen: fix swap assign of prefix and postfix expr 2020-05-28 02:22:09 +03:00
multiret_with_ptrtype_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
mut_test.v all: change `f mut Foo` to `mut f Foo` 2020-06-04 10:35:40 +02:00
named_break_continue_test.v gen: implement labelled break and continue (retry) (#6887) 2020-11-20 12:23:48 +01:00
nested_map_test.v cgen: fix setting nested map elements fields (#6744) 2020-11-06 16:32:44 +02:00
num_lit_call_method_test.v float: make default string representations for floats become alike 2020-06-18 22:33:41 +02:00
operator_overloading_with_string_interpolation_test.v cgen: implement argument operator overloading (#8067) 2021-01-13 03:31:14 +01:00
option_default_values_test.v parser: add a check for known vars in or block (#7094) 2020-12-03 04:46:04 +01:00
option_if_assign_and_fallthrough_test.v cgen: else if guard (#5822) 2020-07-14 17:19:55 +02:00
option_in_loop_test.v tests: add tests for continue/break in last statement of an `or{}` 2020-11-02 12:54:45 +02:00
option_print_errors_test.v cgen: support for error('abc').str() and printing errors 2020-04-24 18:35:33 +03:00
option_test.v checker: require explicit return none (#8060) 2021-01-12 11:43:55 +01:00
option_void_test.v cgen: fix optional void return error 2020-06-24 12:45:15 +02:00
parser_line_test.v parser: require `(` on same line as name token for fn call or cast (#6160) 2020-08-19 02:37:17 +02:00
pointers_str_test.v all: fix struct names error 2020-05-27 19:12:34 +03:00
pointers_test.v gg: GG => Context 2020-06-04 20:26:18 +02:00
print_test.v all: make eprint[ln] behave same as print[ln] (#7595) 2020-12-27 11:22:16 +01:00
prod_test.v all: make comptime templates usable outside of vweb via $tmpl 2020-11-26 18:40:35 +01:00
ptr_arithmetic_test.v checker: allow ptr++/ptr-- in unsafe{}, for any kind of pointer, except voidptr 2021-01-17 18:09:25 +02:00
ref_return_test.v checker: check reference return to be really reference (#7739) 2020-12-31 12:42:22 +01:00
repeated_multiret_values_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
return_voidptr_test.v ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
reusable_mut_multiret_values_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
run_v_code_from_stdin_test.v tests: fix random color mismatches in the CI 2021-01-13 21:27:30 +02:00
semaphore_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
semaphore_timed_test.v checker/channels: check `mut`/`&` state of transmitted objects (#6315) 2020-09-06 21:24:41 +02:00
shared_array_test.v parser: enable module auto import (of `sync`) (#6271) 2020-08-31 10:44:39 +02:00
shared_lock_2_test.v parser: enable module auto import (of `sync`) (#6271) 2020-08-31 10:44:39 +02:00
shared_lock_3_test.v parser: enable module auto import (of `sync`) (#6271) 2020-08-31 10:44:39 +02:00
shared_lock_4_test.v parser: enable module auto import (of `sync`) (#6271) 2020-08-31 10:44:39 +02:00
shared_lock_test.v parser: enable module auto import (of `sync`) (#6271) 2020-08-31 10:44:39 +02:00
shared_map_test.v cgen: fix map value op-assign modification (#7101) 2020-12-03 00:40:11 +01:00
shift_test.v parser: require assign on type alias (#6477) 2020-09-25 12:02:32 +02:00
short_struct_param_syntax_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
sizeof_2_test.v checker, cgen: fix call of generic function returning normal type (#5865) 2020-07-17 18:28:45 +02:00
sizeof_test.v parser: fix sizeof(mod.Type), fix checking sizeof expression (#8065) 2021-01-13 06:13:39 +01:00
sorting_by_different_criteria_test.v cgen: fix gen_array_sort() (#8077) 2021-01-13 06:12:22 +01:00
static_arrays_using_const_for_size_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
str_gen_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
string_alias_test.v Alias string compare (#7364) 2020-12-16 21:40:56 +02:00
string_interpolation_array_test.v cgen: fix array/fixed_array_rune_str (#7881) 2021-01-05 18:16:55 +02:00
string_interpolation_floats_test.v interpolation: support '' (rounding like in C) 2020-10-16 17:28:11 +03:00
string_interpolation_function_test.v gen: fix errors of string interpolation of fn values in struct/array/map (#7367) 2020-12-17 11:16:27 +02:00
string_interpolation_multi_return_test.v cgen: add gen_str_for_multi_return 2020-06-21 17:53:13 +02:00
string_interpolation_of_array_of_structs_test.v checker: default field fixes 2020-09-09 14:05:56 +02:00
string_interpolation_string_args_test.v scanner: fix interpolation with more embedded string args (#7258) 2020-12-11 19:15:59 +02:00
string_interpolation_struct_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
string_interpolation_test.v cc: add support for tcc backend on windows-i386 (#6297) 2020-09-08 15:15:35 +02:00
string_interpolation_variadic_test.v gen: fix interpolation variadic (#7059) 2020-12-01 12:40:38 +02:00
string_struct_interpolation_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
struct_allow_both_field_defaults_and_skip_flag_test.v parser: allow for `struct Abc { f [skip] = -1 }` 2020-05-29 12:45:54 +03:00
struct_chained_fields_correct_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
struct_embed_test.v cgen: fix embed generic field access & method call (#7725) 2020-12-30 23:49:02 +01:00
struct_equality_test.v cgen: fix struct equality (#7649) 2020-12-28 18:22:47 +02:00
struct_fields_storing_functions_test.v checker: fix `instance.cb_field(mut arg)` 2021-01-15 20:28:42 +02:00
struct_map_method_test.v checker: allow `map` method name (#7834) 2021-01-03 16:57:29 +01:00
struct_test.v parser: struct updating syntax with `Abc{...oldabc newfield: val}` (#7865) 2021-01-04 20:19:03 +02:00
sum_type_test.v tests: add test of sumtype-based binary tree (#8121) 2021-01-15 15:10:30 +02:00
sumtype_calls_test.v test: fix alias type errors and type_test.v 2020-05-19 15:04:51 +03:00
sumtype_literal_test.v checker, cgen: allow using literals as `int` and `f64` for sum types (#7864) 2021-01-04 20:48:13 +02:00
sumtype_str_test.v gen: removes space on struct printing (#6535) 2020-10-02 13:06:02 +02:00
supports__likely__test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
tmpl_test.v fmt: handle $tmpl 2020-11-26 18:53:38 +01:00
type_alias_str_method_override_test.v parser: require assign on type alias (#6477) 2020-09-25 12:02:32 +02:00
type_alias_test.v parser: require assign on type alias (#6477) 2020-09-25 12:02:32 +02:00
type_name_test.v parser: implement `T.name` for generic types (#6783) 2020-11-09 14:35:26 +01:00
type_promotion_test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
type_voidptr_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
typeof_simple_types_test.v builtin: rename `any_*` -> `*_literal` - 2. part (#8032) 2021-01-11 22:58:15 +01:00
typeof_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
unsafe_test.v tests: remove map reference test 2020-12-20 07:59:39 +01:00
vargs_auto_str_method_and_println_test.v all: make eprint[ln] behave same as print[ln] (#7595) 2020-12-27 11:22:16 +01:00
vargs_empty_param_test.v cgen: fix compiling call expressions with no varargs (tcc bug) 2020-12-23 21:15:00 +02:00
vmod_parser_test.v 0.2.1 2020-12-29 21:24:33 +01:00
voidptr_to_u64_cast_a_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
voidptr_to_u64_cast_b_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
working_with_an_empty_struct_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00