v/cmd/tools/fast/fast.v

201 lines
5.0 KiB
V
Raw Normal View History

2022-01-04 10:21:08 +01:00
// Copyright (c) 2019-2022 Alexander Medvednikov. All rights reserved.
2019-11-07 18:38:27 +01:00
// Use of this source code is governed by an MIT license
// that can be found in the LICENSE file.
2020-04-26 08:32:05 +02:00
import os
import time
2019-11-07 18:38:27 +01:00
2022-02-18 08:41:53 +01:00
const voptions = ' -usecache -skip-unused -show-timings -stats '
2021-06-12 17:17:29 +02:00
const exe = os.executable()
const fast_dir = os.dir(exe)
const vdir = @VEXEROOT
2019-11-07 18:38:27 +01:00
fn main() {
2021-06-12 17:17:29 +02:00
dump(fast_dir)
dump(vdir)
os.chdir(fast_dir)?
if !os.exists('$vdir/v') && !os.is_dir('$vdir/vlib') {
2020-02-09 10:08:04 +01:00
println('fast.html generator needs to be located in `v/cmd/tools/fast`')
2019-12-16 20:22:04 +01:00
}
2019-11-07 18:38:27 +01:00
println('fast.html generator\n')
2021-09-28 09:28:04 +02:00
if !os.args.contains('-noupdate') {
println('Fetching updates...')
ret := os.system('$vdir/v up')
if ret != 0 {
println('failed to update V')
return
}
2019-12-16 20:22:04 +01:00
}
// fetch the last commit's hash
commit := exec('git rev-parse HEAD')[..8]
if !os.exists('table.html') {
os.create('table.html')?
2019-12-16 20:22:04 +01:00
}
mut table := os.read_file('table.html')?
2021-08-16 07:26:50 +02:00
if os.exists('website/index.html') {
uploaded_index := os.read_file('website/index.html')?
2021-08-16 07:26:50 +02:00
if uploaded_index.contains('>$commit<') {
println('nothing to benchmark')
exit(1)
return
}
}
message := exec('git log --pretty=format:"%s" -n1 $commit')
println('\nBenchmarking commit $commit "$message"')
// build an optimized V
println(' Building vprod...')
os.chdir(vdir)?
if os.args.contains('-noprod') {
exec('./v -o vprod cmd/v') // for faster debugging
} else {
exec('./v -o vprod -prod -prealloc cmd/v')
}
// cache vlib modules
2021-06-12 17:17:29 +02:00
exec('$vdir/v wipe-cache')
exec('$vdir/v -o v2 -prod cmd/v')
// measure
diff1 := measure('$vdir/vprod $voptions -o v.c cmd/v', 'v.c')
2021-03-14 19:34:51 +01:00
mut tcc_path := 'tcc'
$if freebsd {
tcc_path = '/usr/local/bin/tcc'
if vdir.contains('/tmp/cirrus-ci-build') {
tcc_path = 'clang'
}
2021-03-14 19:34:51 +01:00
}
2021-08-16 05:30:35 +02:00
if os.args.contains('-clang') {
tcc_path = 'clang'
}
diff2 := measure('$vdir/vprod $voptions -cc $tcc_path -o v2 cmd/v', 'v2')
diff3 := 0 // measure('$vdir/vprod -native $vdir/cmd/tools/1mil.v', 'native 1mil')
diff4 := measure('$vdir/vprod -usecache $voptions -cc clang examples/hello_world.v',
2021-05-26 08:49:22 +02:00
'hello.v')
vc_size := os.file_size('v.c') / 1000
scan, parse, check, cgen, vlines := measure_steps(vdir)
commit_date := exec('git log -n1 --pretty="format:%at" $commit')
date := time.unix(commit_date.int())
os.chdir(fast_dir)?
mut out := os.create('table.html')?
// place the new row on top
html_message := message.replace_each(['<', '&lt;', '>', '&gt;'])
table =
' <tr>
<td>$date.format()</td>
<td><a target=_blank href="https://github.com/vlang/v/commit/$commit">$commit</a></td>
<td>$html_message</td>
2020-07-10 16:51:16 +02:00
<td>${diff1}ms</td>
<td>${diff2}ms</td>
<td>${diff3}ms</td>
<td>${diff4}ms</td>
<td>$vc_size KB</td>
<td>${parse}ms</td>
<td>${check}ms</td>
<td>${cgen}ms</td>
<td>${scan}ms</td>
<td>$vlines</td>
2021-06-06 08:52:29 +02:00
<td>${int(f64(vlines) / f64(diff1) * 1000.0)}</td>
2020-07-10 16:51:16 +02:00
</tr>\n' +
table.trim_space()
out.writeln(table)?
out.close()
// regenerate index.html
header := os.read_file('header.html')?
footer := os.read_file('footer.html')?
mut res := os.create('index.html')?
res.writeln(header)?
res.writeln(table)?
res.writeln(footer)?
res.close()
// upload the result to github pages
2021-08-16 07:33:53 +02:00
if os.args.contains('-upload') {
println('uploading...')
os.chdir('website')?
2021-08-15 08:21:57 +02:00
os.execute_or_exit('git checkout gh-pages')
os.cp('../index.html', 'index.html')?
os.rm('../index.html')?
2021-08-15 08:21:57 +02:00
os.system('git commit -am "update benchmark"')
os.system('git push origin gh-pages')
}
}
2019-11-07 18:38:27 +01:00
fn exec(s string) string {
e := os.execute_or_exit(s)
return e.output.trim_right('\r\n')
}
2019-11-07 19:47:31 +01:00
// measure returns milliseconds
fn measure(cmd string, description string) int {
2020-07-10 16:51:16 +02:00
println(' Measuring $description')
println(' Warming up...')
2021-07-25 15:29:05 +02:00
println(cmd)
for _ in 0 .. 3 {
2019-11-07 19:47:31 +01:00
exec(cmd)
2019-12-16 20:22:04 +01:00
}
2020-07-10 16:51:16 +02:00
println(' Building...')
mut runs := []int{}
for r in 0 .. 5 {
println(' Sample ${r + 1}/5')
sw := time.new_stopwatch()
exec(cmd)
runs << int(sw.elapsed().milliseconds())
}
// discard lowest and highest time
runs.sort()
runs = runs[1..4]
mut sum := 0
for run in runs {
sum += run
}
return int(sum / 3)
}
fn measure_steps(vdir string) (int, int, int, int, int) {
resp := os.execute_or_exit('$vdir/vprod $voptions -o v.c cmd/v')
mut scan, mut parse, mut check, mut cgen, mut vlines := 0, 0, 0, 0, 0
lines := resp.output.split_into_lines()
if lines.len == 3 {
parse = lines[0].before('.').int()
check = lines[1].before('.').int()
cgen = lines[2].before('.').int()
} else {
ms_lines := lines.map(it.split(' ms '))
for line in ms_lines {
if line.len == 2 {
if line[1] == 'SCAN' {
scan = line[0].int()
}
if line[1] == 'PARSE' {
parse = line[0].int()
}
if line[1] == 'CHECK' {
check = line[0].int()
}
if line[1] == 'C GEN' {
cgen = line[0].int()
}
} else {
// fetch number of V lines
if line[0].contains('V') && line[0].contains('source') && line[0].contains('size') {
start := line[0].index(':') or { 0 }
end := line[0].index('lines,') or { 0 }
s := line[0][start + 1..end]
vlines = s.trim_space().int()
}
}
}
}
return scan, parse, check, cgen, vlines
}