v/vlib/v/tests
yuyi 97f9abcf82
cgen: fix anon_fn in containers (#9077)
2021-03-03 10:12:08 +02:00
..
bench sync: use `mfence` on windows-tcc (#6079) 2020-08-06 17:31:05 +02:00
field_publicity parser: embed always public and mutable (#7722) 2020-12-30 21:17:48 +02:00
generics_from_modules parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
inout all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
interface_edge_cases cgen: fix `x.interface_field = value_implementing_interface` (closes #7620) 2020-12-27 19:12:39 +02:00
local ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
modules parser: imporve generics detection (#8992) 2021-02-27 10:07:18 +02:00
multiple_paths_in_vmodules all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
prod all: update assoc syntax (#8274) 2021-01-22 23:24:48 +01:00
profile tests: make profile_test.v more robust 2021-02-23 18:30:53 +02:00
project_with_c_code checker: fix treating C structs with capitalized fields as embeds (#8343) 2021-01-25 18:47:14 +02:00
project_with_c_code_2 ci: fix vlib/v/tests/project_with_c_code_2/modc/wrapper.v 2020-12-20 18:33:31 +02:00
project_with_modules_having_submodules ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
project_with_tests_for_main tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
repl all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
skip_unused all: improve -skip-unused, track consts, walk all AST nodes, support tests 2021-02-07 02:51:45 +02:00
tmpl tmpl: fix doubling templates folder path and enhancements (#9029) 2021-03-01 11:15:59 +02:00
valgrind all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
anon_fn_call_test.v cgen: fix anon_fn_call (#8120) 2021-01-15 10:25:30 +01:00
anon_fn_in_containers_test.v cgen: fix anon_fn in containers (#9077) 2021-03-03 10:12:08 +02:00
anon_fn_redefinition_test.v cgen: fix anon_fn redefinition (#8961) 2021-02-25 12:16:35 +01:00
anon_fn_returning_question_test.v all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
anon_fn_test.v gen: fix assigning an anon fn to a struct field (#6965) 2020-11-27 11:15:48 +02:00
appending_to_mut_array_in_fn_param_test.v cgen: fix `fn f(mut a []int) { a << [1,2] }` 2020-12-05 10:12:17 +02:00
array_append_short_struct_test.v checker: fix array_insert_or_prepend_short_struct_init (#8397) 2021-01-29 14:53:14 +01:00
array_cast_test.v parser: support casting to a pointer to array (#7861) 2021-01-04 18:22:04 +02:00
array_equality_test.v cgen: add gen_alias_equlity_fn (#8514) 2021-02-02 18:37:57 +01:00
array_init_test.v checker: infer array_init type from call with in return of or block. closes #7135 2020-12-06 01:26:28 +11:00
array_map_or_test.v parser: parse `-1` and `-13.3` as number literals (#8321) 2021-01-24 22:09:51 +01:00
array_map_ref_test.v parser/cgen: fix explicit array/map shared/reference initializers (#8307) 2021-01-23 23:54:57 +02:00
array_methods_test.v gen: fix evaluating the receiver of array methods (.map(), .filter(), etc) more than once (#7130) 2020-12-04 20:29:34 +02:00
array_slice_test.v cgen: fixed array slice in function, add docs (#8481) 2021-02-01 19:11:17 +02:00
array_test.v gen: fix `for ... in array` (#8428) 2021-01-30 12:27:11 +01:00
array_to_string_test.v table: remove nr_dims from Array (#8053) 2021-01-13 23:43:19 +01:00
array_type_alias_test.v checker/cgen: allow `<<` operator for aliases (#8561) 2021-02-08 01:41:47 +01:00
as_cast_is_expr_sumtype_fn_result_test.v cgen: fix `as` cast when sumtype is the result of a function call (#6537) 2020-10-03 05:04:15 +02:00
asm_test.v cgen: minor optionals fixes 2020-04-06 18:46:50 +02:00
assert_sumtype_test.v cgen: fix assert `sumtype is` (#5739) 2020-07-08 09:05:01 +03:00
assert_with_newlines_test.v cgen: encode newlines as \n in the assert meta information 2020-11-01 17:01:45 +02:00
assign_bitops_with_type_aliases_test.v checker: allow &=,|=,^=,%= on an integer number type aliases 2020-10-04 15:29:09 +03:00
attribute_test.v parser/cgen: multiple attributes & better errors (closes #5334) 2020-06-15 22:59:09 +10:00
autolock_array1_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
autolock_array2_test.v sync: don't force `Mutex` and `Semaphore` to be reference (#8331) 2021-01-29 20:52:14 +02:00
backtrace_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
blank_ident_test.v gen: fix multiple blank param with interface (#8480) 2021-01-31 19:44:55 +02:00
cast_to_byte_test.v checker: allow &=,|=,^=,%= on an integer number type aliases 2020-10-04 15:29:09 +03:00
cast_to_interface_test.v cgen: fix type casts to interfaces (#8476) 2021-01-31 19:24:33 +02:00
clash_var_name_of_array_and_map_test.v cgen: fix var name clash of array/map (fix #1994) (#8765) 2021-02-15 15:51:57 +02:00
complex_assign_test.v all: update assoc syntax (#8274) 2021-01-22 23:24:48 +01:00
comptime_at_test.v parser,checker: support @METHOD, replaced by 'ReceiverType.MethodName' 2021-02-05 16:32:43 +02:00
comptime_bittness_and_endianess_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
comptime_call_test.v checker: check s.$method(arg) argument is []string (#8455) 2021-01-31 15:28:23 +01:00
comptime_field_selector_test.v parser: add warning for s.$field.name without brackets (#8411) 2021-01-30 12:56:10 +01:00
comptime_for_test.v cgen: scope `$for` fields/methods independently (#9017) 2021-03-01 01:01:56 +01:00
comptime_if_expr_test.v cgen: fix generic type $else $if (#8339) 2021-01-25 17:08:02 +01:00
comptime_if_is_test.v cgen: fix `$if T !is` (#8311) 2021-01-25 14:25:52 +01:00
comptime_if_test_support_test.v cgen: support `$if test { ... }` 2020-06-03 10:20:10 +03:00
const_can_use_optionals_test.v cgen: allow for `const ( x = opt() ? )` 2020-12-04 13:11:12 +02:00
const_embed_test.v ftoa: fix strconv/ftoa/f32_f64_to_string_test.v 2020-04-14 03:53:34 +02:00
const_init_order_test.v ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
const_test.v parser: allow `const x = 0` consts outside of const blocks 2020-12-30 02:15:44 +01:00
conversions_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
cross_assign_test.v tests: add mixed and complex types tests for cross assign (#5622) 2020-07-02 18:20:03 +02:00
cstrings_test.v builtin: x.vstring() instead of string(x) (#6102) 2020-08-10 18:05:26 +02:00
defer_test.v gen: fix bug where unreached defer is executed (#8594) 2021-02-06 18:40:39 +02:00
differently_named_structs_test.v tests: fix some warnings in preparation for `-W test-self` 2021-01-31 11:05:17 +02:00
double_ref_deref_test.v scanner: fix parsing multiple .amp 2020-06-13 00:01:44 +02:00
enum_array_field_test.v ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
enum_bitfield_test.v checker, cgen: allow | between bitfield enum values, autogenerate a more specific .str method for them too (#8856) 2021-02-20 21:51:54 +02:00
enum_default_value_in_struct_test.v checker: disallow comparison between enum and int (#7886) 2021-01-08 17:41:52 +01:00
enum_hex_test.v v2: initial interface support; fix enum_hex_test.v 2020-03-31 19:59:41 +02:00
enum_test.v io: remove unused Zzz_CoerceInterfaceTableGeneration struct; add test for `x := Enum{}` 2021-02-17 01:37:05 +02:00
filter_in_map_test.v tests: fix filter_in_map_test.v (it did not have a `test_` function in it) 2021-01-30 10:29:48 +02:00
fixed_array_const_size_test.v parser: fix fixed array using const size (fix #8144) (#8161) 2021-01-18 06:03:03 +01:00
fixed_array_init_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
fixed_array_of_fn_test.v cgen: fix fixed array of function (#8490) 2021-02-01 14:50:10 +01:00
fixed_array_test.v arrays: use for/in instead of unsafe `[direct_array_access]` (#8857) 2021-02-20 15:27:36 +02:00
fixed_array_to_string_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
fn_assignment_test.v checker: fix multi assignment for multiple fns (#5716) 2020-07-07 14:18:51 +02:00
fn_cross_assign_test.v cgen: fix cross assign for functions (#5734) 2020-07-08 09:17:26 +03:00
fn_expecting_ref_but_returning_struct_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
fn_expecting_ref_but_returning_struct_time_module_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
fn_high_test.v parser/checker/gen: anon fn direct call with args 2020-07-08 01:10:39 +10:00
fn_index_direct_call_test.v cgen: fix map['xxx']() error (#7342) 2020-12-15 16:07:24 +01:00
fn_multiple_returns_test.v all: migrate to the new Option (p. 1) (#8924) 2021-02-28 22:24:29 +03:00
fn_mut_args_test.v cgen: fix fn_with_mut_args (fix #7139) (#8446) 2021-01-31 02:45:45 +01:00
fn_shared_return_test.v all: allow functions to return `shared` object (#8606) 2021-02-06 19:41:52 +01:00
fn_test.v parser: fix parsing attribute after fn prototype with no return type (#8727) 2021-02-14 08:05:20 +01:00
fn_type_aliases_test.v cgen: fix for `type FdfFn = fn(...) (x,y)` 2020-10-11 09:53:35 +03:00
fn_variadic_test.v parser: change array decompose syntax (#7965) 2021-01-09 22:48:23 +01:00
fn_with_fixed_array_function_args_test.v checker: fix pass fixed array of function as argument (#8502) 2021-02-02 03:58:54 +01:00
for_c_multi_vars.v cgen: implement for_stmt of multi_init_vars or multi_assign_vars (#8917) 2021-02-24 14:58:45 +02:00
for_in_iterator_test.v cgen: move the condition of an iterator to a temp var (#8989) 2021-02-26 21:51:01 +01:00
for_in_mut_val_test.v cgen: fix for_mut_val_in_map_fixed_array (#8314) 2021-01-24 23:18:11 +01:00
for_loops_2_test.v parser: disallow match expr at certain places (#6490) 2020-10-03 16:30:58 +03:00
for_loops_test.v gen: more efficient `for in` with a map (#6961) 2020-11-26 23:22:14 +01:00
for_smartcast_test.v checker: smartcast in for loops (#7942) 2021-01-07 21:35:32 +01:00
generic_chan_test.v all: support generic `chan` (#8188) 2021-01-18 18:37:45 +01:00
generic_fn_infer_modifier_test.v checker: infer T from parameter of type `[]T` (#6611) 2020-10-13 15:15:25 +03:00
generic_fn_infer_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
generic_fn_returning_type_with_T_test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
generic_functions_with_normal_function_test.v cgen: add a _T_ prefix in the C names for generic fn instances; fixes #6927 (#6999) 2020-11-28 17:07:07 +02:00
generics_method_test.v checker/gen: fix generic struct init (#8322) 2021-02-03 00:42:00 +11:00
generics_return_multi_array_test.v generic: fix return multi array generic (fix #7727 #7753) (#7855) 2021-01-04 18:40:53 +02:00
generics_test.v parser: imporve generics detection (#8992) 2021-02-27 10:07:18 +02:00
go_array_wait_test.v table,cgen: fix bug preventing `t := []thread{}` to compile (#8913) 2021-02-23 09:37:29 +02:00
go_call_generic_fn_test.v gen: fix go call with generic function (#8093) 2021-01-13 17:35:50 +02:00
go_handle_for_functions_returning_array_test.v tests: add a regression test for 3d2afcf 2021-02-09 14:11:09 +02:00
go_wait_1_test.v sync: allow `go` routine join with return value (#8125) 2021-01-15 13:45:26 +01:00
go_wait_2_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
go_wait_3_test.v parser: fix parsing of `go` call expression (#8138) 2021-01-15 19:47:49 +02:00
go_wait_option_test.v all: support `thread` handles and `wait()` for functions returning optionals (#8990) 2021-02-27 10:16:55 +02:00
goto_test.v cgen: fix a goto error 2020-05-11 23:49:08 +02:00
if_expr_of_optional_test.v cgen: fix if_expr of multi-stmts optional (#9039) 2021-03-01 21:39:04 +01:00
if_expression_test.v cgen: fix if_expr with array.map (fix #8925) (#8937) 2021-02-24 15:06:29 +02:00
if_guard_test.v checker/cgen: enable `IfGuardExpr` for `a[k]` and `<-ch` (#9065) 2021-03-03 10:10:38 +02:00
if_smartcast_test.v checker/gen: fix smartcast pointer sumtype (#8260) 2021-01-21 22:31:25 +02:00
imported_symbols_test.v parser: set is_public when registering enum type symbols (#8875) 2021-02-21 12:13:52 +02:00
in_expression_test.v builtin: fix `in` for various numeric types (#6311) 2020-09-06 12:45:02 +02:00
infix_expr_test.v gen: fix comparing signed int to u32/u64 (#7312) 2020-12-14 12:01:11 +02:00
int_cmp_test.v cgen: fix unsigned/signed integer comparisons 2020-06-17 02:54:27 +02:00
interface_fields_test.v checker: add mutability checks for interface fields; add tests (#8312) 2021-01-24 22:11:17 +01:00
interface_struct_test.v cgen: fix interface struct field initialization (#7340) 2020-12-16 11:03:28 +02:00
interface_test.v checker, cgen: allow implementing an interface with an embedded struct (#9042) 2021-03-01 21:47:00 +01:00
interface_variadic_test.v parser: fix parsing interface methods with varargs (#8229) 2021-01-20 23:15:02 +02:00
interfaces_map_test.v parser: check `(mut f Foo)` syntax 2020-05-17 13:51:18 +02:00
interop_test.v checker: disallow assigning number to pointer (#7267) 2020-12-12 10:42:07 +01:00
local_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
map_alias_key_test.v all: allow using aliases as keys in map (#8589) 2021-02-08 18:51:05 +01:00
map_and_array_with_fns_test.v checker: fix map of function as argument and direct call of function in map (#8494) 2021-02-02 03:58:32 +01:00
map_complex_fixed_array_test.v cgen: fix map_complex_high_order_fixed_array (#8329) 2021-01-25 14:40:53 +02:00
map_enum_keys_test.v gen,parser: allow enums as map keys 2021-02-20 19:39:25 +02:00
map_equality_test.v cgen: add `gen_map_equality_fn` to compare maps (#5770) 2020-07-10 18:08:17 +02:00
map_high_order_assign_test.v cgen: fix high order map assignment (#8198) 2021-01-19 14:31:24 +02:00
map_key_expr_test.v parser: parse `map{key_expr: val_expr}` (#8608) 2021-02-06 22:13:24 +01:00
map_mut_fn_test.v gen: fix mutable map generation (#7251) 2020-12-11 04:48:55 +01:00
map_to_string_test.v cgen: fix map_fixed_arrays str error (fix #8170) (#8178) 2021-01-18 10:34:29 +01:00
map_type_alias_test.v checker: fix indexing a type alias instance (#7889) 2021-01-05 19:17:18 +01:00
maps_equal_test.v cgen: use voidptr key methods: map_get_1, map_set_1, map_get_and_set_1 (#7390) 2020-12-19 01:05:16 +02:00
match_expression_for_types_test.v tests: update for stricter type checks 2020-05-24 21:07:32 +02:00
match_expression_with_fn_names_in_branches_test.v checker: fix `x := match enumexpr { .case2 { fn1 } .case2 { fn2} }`, where fn1 and fn2 have compatible signature 2021-02-01 21:01:58 +02:00
match_in_fn_call_test.v cgen: fix error of match in fn_call (#8900) 2021-02-23 09:53:07 +02:00
match_in_if_expression_test.v cgen: fix match_in_if_expression (#8407) 2021-01-29 14:51:17 +01:00
match_in_map_init_test.v checker: fix error of match in map_init (fix #8579) (#8879) 2021-02-21 17:09:42 +02:00
match_interface_test.v cgen: fix `match`ing interface types (#8889) 2021-02-22 14:55:43 +02:00
match_smartcast_test.v tests: cleanup sum type tests (#6950) 2020-11-25 20:40:29 +01:00
match_sumtype_var_shadow_and_as_test.v gg: native rendering mode on macOS 2021-01-23 10:25:43 +01:00
match_test.v tests: fix some warnings in preparation for `-W test-self` 2021-01-31 11:05:17 +02:00
match_with_complex_exprs_in_branches_test.v cgen: fix match_return with complex expr stmts (#8876) 2021-02-21 11:47:46 +02:00
method_call_chain_test.v checker: don't disallow method call chains (#7128) 2020-12-04 21:27:38 +01:00
methods_on_interfaces_test.v checker: don't disallow defining methods on interfaces (#8335) 2021-01-26 11:56:17 +01:00
module_test.v all: minor array fixes 2020-12-20 16:08:56 +01:00
module_type_cast_test.v parser: fix module type cast 2020-06-29 17:15:40 +02:00
multiple_assign_test.v cgen: fix swap assign of prefix and postfix expr 2020-05-28 02:22:09 +03:00
multiret_with_ptrtype_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
mut_test.v checker: fix for _, mut j in array (#8785) 2021-02-17 20:44:28 +01:00
named_break_continue_test.v gen: implement labelled break and continue (retry) (#6887) 2020-11-20 12:23:48 +01:00
nested_map_test.v parser,gen: fix `arr << map[key] using map_get_and_set_1, leading to double free 2021-02-16 16:39:31 +02:00
nested_option_call_test.v checker: support nested propagation cases `f(g() ?)` (#8447) 2021-01-30 16:53:31 +01:00
num_lit_call_method_test.v float: make default string representations for floats become alike 2020-06-18 22:33:41 +02:00
offsetof_test.v all: add offsetof (#8380) 2021-01-30 12:57:09 +01:00
operator_overloading_cmp_test.v cgen: fix gen of .sort method for `>` operator and improve grammar of error (#8615) 2021-02-08 17:19:54 +02:00
operator_overloading_with_string_interpolation_test.v all: only allow defining `==` and `<` and auto generate `!=`, `>`, `>=` and `<=` (#8520) 2021-02-03 15:18:38 +01:00
option_2_test.v cgen: fix various issues concerning optionals (#9021) 2021-02-28 23:21:03 +01:00
option_default_values_test.v parser: add a check for known vars in or block (#7094) 2020-12-03 04:46:04 +01:00
option_if_assign_and_fallthrough_test.v cgen: else if guard (#5822) 2020-07-14 17:19:55 +02:00
option_in_loop_test.v tests: add tests for continue/break in last statement of an `or{}` 2020-11-02 12:54:45 +02:00
option_print_errors_test.v cgen: support for error('abc').str() and printing errors 2020-04-24 18:35:33 +03:00
option_test.v all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
option_void_test.v all: migrate to the new Option (p. 1) (#8924) 2021-02-28 22:24:29 +03:00
orm_sub_struct_test.v all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
parser_line_test.v parser: require `(` on same line as name token for fn call or cast (#6160) 2020-08-19 02:37:17 +02:00
pointers_str_test.v all: fix struct names error 2020-05-27 19:12:34 +03:00
pointers_test.v tests: another pointer struct test 2021-01-20 09:24:12 +01:00
print_test.v all: make eprint[ln] behave same as print[ln] (#7595) 2020-12-27 11:22:16 +01:00
prod_test.v all: update repo to use the new error handling syntax (#8950) 2021-02-28 23:20:21 +03:00
ptr_arithmetic_test.v checker: allow ptr++/ptr-- in unsafe{}, for any kind of pointer, except voidptr 2021-01-17 18:09:25 +02:00
ref_return_test.v checker: check reference return to be really reference (#7739) 2020-12-31 12:42:22 +01:00
ref_struct_test.v all: rename `[ref_only]` -> `[heap]` (#8718) 2021-02-13 16:52:01 +02:00
repeated_multiret_values_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
reserved_keywords_can_be_escaped_with_at_test.v v.gen.c: ensure that @continue is escaped in the generated C code 2021-02-26 10:22:35 +02:00
return_voidptr_test.v ci: vet all files from the compiler (#5994) 2020-07-27 12:15:29 +02:00
reusable_mut_multiret_values_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
run_v_code_from_stdin_test.v all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
semaphore_test.v sync: don't force `Mutex` and `Semaphore` to be reference (#8331) 2021-01-29 20:52:14 +02:00
semaphore_timed_test.v checker/cgen: support `return` from nested `or` (#8430) 2021-01-30 12:46:36 +02:00
shared_arg_test.v cgen: create enclosing block on C side for V `lock` blocks (#8538) 2021-02-03 23:25:01 +01:00
shared_array_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
shared_autolock_test.v parser: auto-import `sync` when `shared` objects are used (#8294) 2021-01-23 16:03:21 +01:00
shared_elem_test.v all: allow `shared` element types for `struct` and arrays (#8631) 2021-02-08 00:28:29 +01:00
shared_fn_return_test.v cgen: support `shared` initialization from call returning optional (#8593) 2021-02-06 03:07:05 +01:00
shared_lock_2_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
shared_lock_3_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
shared_lock_4_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
shared_lock_5_test.v all: make `lock` and `rlock` dead lock free :-) (#8534) 2021-02-03 15:16:52 +01:00
shared_lock_6_test.v all: make `lock` and `rlock` dead lock free :-) (#8534) 2021-02-03 15:16:52 +01:00
shared_lock_expr_test.v allow `lock` expression to return multiple objects (#8657) 2021-02-09 17:09:10 +02:00
shared_lock_test.v time: time.wait() => time.sleep() 2021-02-27 20:41:06 +03:00
shared_map_test.v cgen: allow `shared` initialization from return values of functions (#8512) 2021-02-02 14:13:13 +02:00
shared_unordered_mixed_test.v all: make `lock` and `rlock` dead lock free :-) (#8534) 2021-02-03 15:16:52 +01:00
shift_test.v parser: require assign on type alias (#6477) 2020-09-25 12:02:32 +02:00
short_struct_param_syntax_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
sizeof_2_test.v checker, cgen: fix call of generic function returning normal type (#5865) 2020-07-17 18:28:45 +02:00
sizeof_test.v parser: fix sizeof(mod.Type), fix checking sizeof expression (#8065) 2021-01-13 06:13:39 +01:00
sorting_by_different_criteria_test.v all: only allow defining `==` and `<` and auto generate `!=`, `>`, `>=` and `<=` (#8520) 2021-02-03 15:18:38 +01:00
sorting_by_references_test.v cgen: fix array_sort_by_references (fix #8390) (#8403) 2021-01-29 12:20:28 +02:00
static_arrays_using_const_for_size_test.v tests: fix warnings when doing `./v -W -progress -check-syntax test-fixed` 2020-10-26 14:41:57 +02:00
static_vars_test.v fmt: fix support for `mut static x := 42` 2021-02-28 19:40:21 +02:00
str_gen_test.v all: migrate to the new Option (p. 1) (#8924) 2021-02-28 22:24:29 +03:00
string_alias_test.v Alias string compare (#7364) 2020-12-16 21:40:56 +02:00
string_interpolation_alias_test.v cgen: fix array/map of alias to string (#8549) 2021-02-04 17:52:14 +02:00
string_interpolation_array_test.v cgen: fix array/fixed_array_rune_str (#7881) 2021-01-05 18:16:55 +02:00
string_interpolation_custom_str_test.v gen/c: fix gen_str_for_struct with custom ref str (fix #7179) (#8820) 2021-02-18 18:19:42 +02:00
string_interpolation_floats_test.v interpolation: support '' (rounding like in C) 2020-10-16 17:28:11 +03:00
string_interpolation_function_test.v gen: fix errors of string interpolation of fn values in struct/array/map (#7367) 2020-12-17 11:16:27 +02:00
string_interpolation_multi_return_test.v cgen: add gen_str_for_multi_return 2020-06-21 17:53:13 +02:00
string_interpolation_of_array_of_structs_test.v checker: default field fixes 2020-09-09 14:05:56 +02:00
string_interpolation_shared_test.v checker/cgen: support `print*()`, `.str()` and '$x' for `shared` (#8771) 2021-02-16 12:40:13 +01:00
string_interpolation_string_args_test.v scanner: fix interpolation with more embedded string args (#7258) 2020-12-11 19:15:59 +02:00
string_interpolation_struct_test.v gen: fix infinite loop when struct's ref field is pointing to self (#8632) (#8641) 2021-02-15 15:43:10 +02:00
string_interpolation_test.v cc: add support for tcc backend on windows-i386 (#6297) 2020-09-08 15:15:35 +02:00
string_interpolation_variadic_test.v gen: fix interpolation variadic (#7059) 2020-12-01 12:40:38 +02:00
string_struct_interpolation_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
struct_allow_both_field_defaults_and_skip_flag_test.v parser: allow for `struct Abc { f [skip] = -1 }` 2020-05-29 12:45:54 +03:00
struct_chained_fields_correct_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
struct_child_field_default_test.v cgen: fix child struct's default values not assigned (#8202) 2021-01-19 17:10:22 +01:00
struct_embed_test.v cgen: fix embed generic field access & method call (#7725) 2020-12-30 23:49:02 +01:00
struct_eq_op_only_test.v cgen: allow `==` to be user defined if `!=` is auto generated and vice versa (#8286) 2021-01-23 16:59:39 +01:00
struct_equality_test.v cgen: fix struct equality (#7649) 2020-12-28 18:22:47 +02:00
struct_field_default_value_interface_cast_test.v cgen: cast default struct field value to correct SumType/interface (#8619) 2021-02-08 17:33:05 +02:00
struct_field_default_value_sumtype_cast_test.v cgen: cast default struct field value to correct SumType/interface (#8619) 2021-02-08 17:33:05 +02:00
struct_fields_storing_functions_test.v checker: fix `instance.cb_field(mut arg)` 2021-01-15 20:28:42 +02:00
struct_map_method_test.v checker: allow `map` method name (#7834) 2021-01-03 16:57:29 +01:00
struct_test.v all: migrate to the new Option (p. 1) (#8924) 2021-02-28 22:24:29 +03:00
struct_transmute_test.v checker: allow `Struct{...expr}` where `expr` is another struct type (#8495) 2021-02-01 20:08:25 +01:00
sum_type_test.v example/test: add a binary search tree example and test (#8145) 2021-01-19 15:18:38 +02:00
sumtype_assign_test.v checker: fix sumtype assign error (fix #7988) (#8655) 2021-02-10 10:59:56 +01:00
sumtype_calls_test.v test: fix alias type errors and type_test.v 2020-05-19 15:04:51 +03:00
sumtype_literal_test.v checker, cgen: allow using literals as `int` and `f64` for sum types (#7864) 2021-01-04 20:48:13 +02:00
sumtype_str_for_subtypes_with_str_test.v gen: fix autogeneration of .str() methods for sumtypes that have child types with custom .str() methods 2021-01-23 17:22:19 +02:00
sumtype_str_test.v gen: removes space on struct printing (#6535) 2020-10-02 13:06:02 +02:00
supports__likely__test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
tmpl_test.v tmpl: fix doubling templates folder path and enhancements (#9029) 2021-03-01 11:15:59 +02:00
type_alias_str_method_override_test.v parser: require assign on type alias (#6477) 2020-09-25 12:02:32 +02:00
type_alias_test.v parser: require assign on type alias (#6477) 2020-09-25 12:02:32 +02:00
type_name_test.v parser: implement `T.name` for generic types (#6783) 2020-11-09 14:35:26 +01:00
type_promotion_test.v parser: warn about old use of `typeof` (#7923) 2021-01-07 20:32:02 +01:00
type_voidptr_test.v time: consolidate the different sleep functions into time.wait(Duration) (#8853) 2021-02-21 17:05:03 +02:00
typeof_simple_types_test.v tests: fix some warnings in preparation for `-W test-self` 2021-01-31 11:05:17 +02:00
typeof_test.v all: change `[1,2,3]!!` to `[1,2,3]!` (#8101) 2021-01-14 03:51:13 +01:00
unsafe_test.v tests: remove map reference test 2020-12-20 07:59:39 +01:00
vargs_auto_str_method_and_println_test.v all: make eprint[ln] behave same as print[ln] (#7595) 2020-12-27 11:22:16 +01:00
vargs_empty_param_test.v all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
vmod_parser_test.v all: bring back `panic(err.msg)` -> `panic(err)` (#9022) 2021-03-01 00:18:14 +01:00
voidptr_to_u64_cast_a_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
voidptr_to_u64_cast_b_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00
working_with_an_empty_struct_test.v tests: run vfmt 2020-04-12 01:41:26 +02:00